在线咨询 切换到宽版
eetop公众号 创芯大讲堂 创芯人才网

 找回密码
 注册

手机号码,快捷登录

手机号码,快捷登录

搜全文
查看: 5646|回复: 16

谁有存储器的vhdl源程序

[复制链接]
发表于 2003-8-26 13:59:46 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

×
有没有人有存储器的源程序,我自己编了一个,可是刚刚检查语法时就死机了,不知时何原因?
发表于 2003-8-26 14:02:12 | 显示全部楼层

谁有存储器的vhdl源程序

软件或操作系统有问题
回复 支持 反对

使用道具 举报

 楼主| 发表于 2003-8-26 14:06:28 | 显示全部楼层

谁有存储器的vhdl源程序

你说的我没有理解,能否再解释一下,是不是我的程序没有问题?
回复 支持 反对

使用道具 举报

发表于 2003-8-26 14:13:41 | 显示全部楼层

谁有存储器的vhdl源程序

程序即使有问题也不应该死机
回复 支持 反对

使用道具 举报

 楼主| 发表于 2003-8-26 14:20:12 | 显示全部楼层

谁有存储器的vhdl源程序

可是我从来没有发现我的软件有什么问题?我还是认为我的程序有问题,你能不能帮我看一看?我怎样把程序传上来?
回复 支持 反对

使用道具 举报

发表于 2003-8-26 14:24:12 | 显示全部楼层

谁有存储器的vhdl源程序

可以
回复 支持 反对

使用道具 举报

发表于 2003-8-26 14:27:48 | 显示全部楼层

谁有存储器的vhdl源程序

请把程序贴出来看看。
应该提醒的是不要试图用类似二维数组的语句来自己构建存储器,虽然说综合器有时候不拒绝做这种工作,但是耗费大量资源(包括FPGA的内部资源和综合时的计算机资源),结果也不会理想。
回复 支持 反对

使用道具 举报

 楼主| 发表于 2003-8-26 14:28:42 | 显示全部楼层

谁有存储器的vhdl源程序

entity rom_1 is
    Port ( wr , rd , cs : in std_logic;
           data_in : in std_logic_vector ( 7 downto 0 );
           adr : in std_logic_vector(7 downto 0 );
           dout : out std_logic_vector(7 downto 0) );
end rom_1;
architecture Behavioral of rom_1 is
subtype word is std_logic_vector(7 downto 0);
type memory is array ( 0 to 255 ) of word ;
signal adr_in : integer range 0 to 255;
signal  rom :memory;
begin
adr_in <= conv_integer ( adr );
  process ( rd ,cs )
    begin
   if cs = '1' then
  if rd = '0' then
  dout <= rom ( adr_in ) ;
  end if ;
end if ;
end process;
process ( wr , cs )
  begin
if( cs = '1' ) then
if ( wr = '0' ) then
rom ( adr_in ) <= data_in ;
end if;
  end if ;
end process;
   
end Behavioral;
回复 支持 反对

使用道具 举报

发表于 2003-8-26 15:07:14 | 显示全部楼层

谁有存储器的vhdl源程序

你用什么工具仿真
回复 支持 反对

使用道具 举报

发表于 2003-8-26 15:08:15 | 显示全部楼层

谁有存储器的vhdl源程序

如果用vsystem就不会有问题
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 注册

本版积分规则


手机版| 小黑屋| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2026-1-17 13:55 , Processed in 0.049567 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表